IC design时,有的公司是在linux环境下进行,虽然很多推荐用vim/gvim进行coding,但是在linux vscode下coding也很多,因为vscode插件很多,看个人习惯吧,我喜欢在vscode下Coding。另外FPGA开发一般也就在windows环境下进行,所以也可以用Vscode进行Coding。个人使用的插件如下:

1.代码补全,代码片段,语法高亮,语法检查,代码跳转:Verilog-HDL/SystemVerilog/Bluespec SystemVerilog support for VS Code(需要安装ctags)
2.Verilog_testbench, cmd生成tb, copyboard 复制到tb文件
3.Verilog hdl(可以run仿真,搭配wavetrace可以vscode里看仿真波形)
4.verilog-simplealign,代码对齐,端口,逗号,信号对齐
5.koroFileHeader,自动生成文件头部注释,也可以函数注释以及末尾注释
6.SystemVerilog and Verilog Formatter for VSCode,代码格式化插件,基于Google Verible,要自己看文档写 cmd,难度较大,会用的话能把代码格式化的很漂亮。
7.Digital-ide,轻量级FPGA开发套件平台,功能还不错,但是要折腾,而且功能不完善,观望,等开发成熟再使用
8.TerosHdl,功能好像很强大,但是要配置下各种依赖,有个地方没有弄通,看不了电路图,所有我就暂时没用,给开发者在github上提了求助,等待回复,同样先观望。

 

声明:本站所有文章,如无特殊说明或标注,均为本站原创发布。任何个人或组织,在未征得本站同意时,禁止复制、盗用、采集、发布本站内容到任何网站、书籍等各类媒体平台。如若本站内容侵犯了原著者的合法权益,可联系我们进行处理。